[BACK]
Computers, Materials & Continua
DOI:10.32604/cmc.2022.027177
images
Article

STTAR: A Traffic- and Thermal-Aware Adaptive Routing for 3D Network-on-Chip Systems

Juan Fang1,*, Yunfei Mao1, Min Cai1, Li’ang Zhao1, Huijie Chen1 and Wei Xiang2,3

1Faculty of Information Technology, Beijing University of Technology, Beijing, 100124, China
2La Trobe University, Melbourne, VIC 3086, Australia
3James Cook University, Cains, QLD 4878, Australia
*Corresponding Author: Juan Fang. Email: fangjuan@bjut.edu.cn
Received: 12 January 2022; Accepted: 23 March 2022

Abstract: Since the three-dimensional Network on Chip (3D NoC) uses through-silicon via technology to connect the chips, each silicon layer is conducted through heterogeneous thermal, and 3D NoC system suffers from thermal problems. To alleviate the seriousness of the thermal problem, the distribution of data packets usually relies on traffic information or historical temperature information. However, thermal problems in 3D NoC cannot be solved only based on traffic or temperature information. Therefore, we propose a Score-Based Traffic- and Thermal-Aware Adaptive Routing (STTAR) that applies traffic load and temperature information to routing. First, the STTAR dynamically adjusts the input and output buffer lengths of each router with traffic load information to limit routing resources in overheated areas and control the rate of temperature rise. Second, STTAR adopts a scoring strategy based on temperature and the number of free slots in the buffer to avoid data packets being transmitted to high-temperature areas and congested areas and to improve the rationality of selecting routing output nodes. In our experiments, the proposed scoring Score-Based Traffic- and Thermal-Aware Adaptive Routing (STTAR) scheme can increase the throughput by about 14.98% to 47.90% and reduce the delay by about 10.80% to 35.36% compared with the previous works.

Keywords: Buffer allocation; thermal; 3D NoC; routing algorithm

1  Introduction

With the development of multi-core chips, the Network-on-Chip (NoC) has increasingly received much attention from research community. 2D NoC has developed rapidly depend on the advantages of high parallel integration and good scalability [1]. However, with the increase in the number of cores, it is not only difficult for 2D NoC to ensure that key components are adjacent, but also difficult to shorten the critical path length and reduce signal delay [2]. It cannot provide a network with high throughput, high bandwidth, and low latency. At the same time, 3D integrated circuit (IC) technology based on three-dimensional packaging technology has made great progress [3]. It can reduce global wire length to solve the above problems [3]. For this reason, 3D NoC came into being.

3D NoC uses a three-dimensional packaging method to encapsulate multiple chips with a two-dimensional structure into one chip [4]. Chips are connected mainly by through-silicon-via (TSV) technology [4,5]. The TSV technology proffers 3D NoC the highest stacking density in the three-dimensional direction, faster transmission speed, and lower system power consumption [6]. It can be seen that 3D NoC not only provides higher bandwidth by reducing the length of the global interconnection but also reduces power consumption to a certain extent. Every coin has two sides. With the stacking of chips, the increase in heat dissipation paths and power density lead to more serious thermal problems in 3D NoC [7,8]. The occurrence of a thermal problem will lead to a decrease in system performance and an increase in the probability of leakage power, which will cause a thermal runaway problem [7,9].

To solve the thermal problem in 3D NoC, run-time thermal management (RTM) is generally considered to be a more appropriate solution [10,11]. This method can limit the temperature within a certain range. Once the system temperature is too high, it will trigger the operation alarm mechanism [10,11]. However, these types of RTM reactions will not only reduce system performance but also increase system delay [12]. To overcome these performance problems, Chen et al. proposed an active RTM reaction formula based on predictive thermal emergency [13]. The RTM reaction formula solves the thermal problem in advance by taking appropriate actions. However, this behavior will still bring about thermal problems in the network, because the traditional routing algorithm will arouse the load imbalance in the network, which gives rise to the active RTM reaction to being affected by the thermal imbalance. At the same time, the traditional minimal adaptive routing algorithm dynamically selects paths based on traffic information [14,15]. Since the minimal routing area cannot perceive temperature information, the data packets in the minimum routing area are prone to network congestion, which leads to thermal problems [16].

Since the temperature in the network is affected by the traffic distribution, the solution to the thermal problem depends on balancing the traffic distribution. Chao et al. proposed a Traffic- and Thermal-Aware Adaptive Beltway Routing (TTABR) [11] that selects the smallest path or loop path with uniform temperature and traffic distribution in the 3D NoC system to deal with the thermal problem. TAABR can provide multiple alternative routing paths to balance the system temperature by equalizing the distribution of data packets. However, the TAABR only considers the traffic problem during the transmission process, and TTABR still has a high probability of transmitting data packets through potentially overheated areas.

Based on the TTABR algorithm, Lee et al. proposed an active loop-based routing algorithm called Proactive Thermal-Budget-Based Beltway Routing (PTB3R) to improve the equilibrium thermal distribution [12]. The author defined a novel thermal-aware routing index called Mean Time to Throttle (MTTT) [12], which represents the remaining active time of the router before the temperature reaches the alarm level. However, the thermal information of the PTB3R is always based on historical temperature sampling and cannot be obtained from the thermal sensor at any time. As a result, the algorithm always chooses a colder path, which will generate new network congestion. Therefore, the PTB3R is not only inappropriate to solve the network congestion problem, but also may reduce system performance.

To tackle the temperature and traffic distribution problems, we propose a Score-Based Traffic- and Thermal-Aware Adaptive Routing (STTAR). STTAR accomplishes the balanced distribution of temperature and traffic through two steps. On the one hand, we dynamically adjust the buffer length based on the temperature prediction information to control the distribution of the data packet. On the other hand, we apply congestion-aware adaptive routing to avoid selecting congested and overheated areas by selecting low-temperature and non-congested areas. Moreover, this method selects the minimal path and the beltway path for routing, which can make the temperature distribution of the network more even. The contributions of this article are as follows:

•   STTAR handles both the space thermal problem and the time thermal problem at the same time, and dynamically adjusts the buffer length according to the information of the time prediction. On the one hand, it avoids the congestion in the overheated area. On the other hand, it limits the routing resources in the overheated area to slow down the temperature increment rate.

•   STTAR adopts a congestion-aware proactive route and candidate route node scoring strategy to transmit data packets to low-temperature areas and non-congested areas. It can avoid congestion and overheated to balance the thermal distribution.

•   STTAR achieves a more balanced temperature and traffic distribution, thereby improving the system performance of 3D NoC. The throughput is increased by about 14.98% to 47.90%; the delay is reduced by about 10.80% to 35.36%; and it has good scalability.

The rest of this article is organized as follows. In Section 2, we introduce related thermal-aware and traffic-aware packet routing techniques. In Section 3, we elaborate on the proposed STTAR. In Section 4, experiments and comparisons are discussed. Finally, the conclusion is shown in Section 5.

2  Background and Related Works

As mentioned before, dynamic thermal management can be classified into time thermal management and space thermal management. What make them qualified for NoC system are the facts that time thermal management improves system performance by reducing the processing speed of overheated areas, and space thermal management controls temperature through traffic distribution to reduce the probability of overheated areas. To combine the advantages of time thermal management and space thermal management, many thermal and thermal-aware routing algorithms have been proposed. Many routing algorithms apply traffic [17,18] or thermal information [19,20] for selecting routing paths. Besides, it is also considered a good choice to apply traffic and temperature information [12,21] to routing at the same time. More detailed contents will be discussed in sections II A, B, and C.

2.1 Traffic- and Thermal-Aware Routing Using Traffic Information

2.1.1 Topology-Aware Adaptive Routing

To address the performance problem in the 3D NoC system, Chen et al. proposed a Topology-Aware Adaptive Routing (TAAR) [17] to control the transmission of data packets. On the bright side, TAAR uses the topology information to dynamically adjust the routing mode so that the current business can match the routing mode. What’s more, it increases the vertical and horizontal routing paths to ensure the diversity of the routing paths. However, TAAR only considers the traffic information and transmits the data packet to the non-congested area based on the minimal routing rule. Therefore, there will be a congested area in the minimal routing area, which will induce overheated areas.

2.1.2 Traffic- and Thermal-Aware Adaptive Beltway Routing

To settle the problem of temperature imbalance in the 3D NoC system, Chen et al. proposed the idea of a loop path and applied this idea to the Traffic- and Thermal-Aware Adaptive Beltway Routing (TTABR) [17]. Due to the introduction of the loop path, TTABR can select the minimal path and the non-minimal loop path according to the traffic information of the network, which promotes the diversity of routing paths and controls the temperature distribution by balancing the distribution of traffic. However, the real problem is, TTABR cannot determine the potential hot spots, which will cause data packets to be routed in the overheated area. Therefore, it will generate congestion in the overheated area and exacerbate the thermal problem.

2.2 Traffic- and Thermal-Aware Routing Using Thermal Information

2.2.1 Dynamic Thermal-Balance Routing

Since simply relying on traffic information cannot completely solve the problems of traffic congestion and overheated, Arora et al. proposed a dynamic heat balance routing method called Dynamic Thermal-Balance Routing (DTBR) [19]. DTBR uses the thermal information of the surrounding routing nodes to select the low-temperature area as the routing path of the data packet, and to achieve the purpose of balancing the temperature. DTBR can balance the temperature distribution to a certain extent, but the collected temperature information is not time-sensitive, which will result in rapid accumulation of data packets in low-temperature areas and generate congestion problems.

2.2.2 Thermal-Budget-Based Beltway Routing

To coordinate traffic and thermal information, Kuo et al. defined the concept of Mean Time to Throttle (MTTT) and proposed a loop routing algorithm called Proactive Thermal-Budget-Based Beltway Routing (PTB3R) [20]. PTB3R uses MTTT information to avoid transmitting data packets to overheated areas to balance the thermal distribution. However, the collection of temperature information relies on historical traffic information that has a certain delay, increasing the likelihood for a large number of data packets to accumulate and congestion.

2.3 Traffic- and Thermal-Aware Routing Using Mixed Information

2.3.1 Proactive Thermal-Aware Dynamic Buffer Allocation

We have depicted the fact that simply relying on traffic information or thermal information cannot achieve good traffic balance and temperature balance. These routing algorithms may cause congested areas or overheated areas in 3D NoC. To cope with the aforementioned problems, Lee et al. proposed an active thermal-sensing dynamic buffer allocation algorithm called Proactive Thermal-aware Dynamic Buffer Allocation (PTDBA) [12]. The rationale behind it is that the buffer area is dynamically adjusted to constrain the routing resources around the overheated area, thereby reducing the temperature growth rate. In terms of avoiding transmitting data packet to overheated areas and congested areas, control traffic distribution and temperature distribution. However, the heating rate does not necessarily represent the current temperature information, it will produce overheated areas in non-congested areas, which will give rise to serious thermal problems.

2.3.2 Game-Based Thermal-Delay-Aware Adaptive Routing

To more accurately synchronize thermal and traffic information, and solve thermal and congestion problems, Chen et al. proposed a Game-Based Thermal-Delay-Aware Adaptive Routing (GTDAR) [21]. First, GTDAR adopts the voting game model to dynamically adjust the buffer length to reduce routing resources around overheated nodes. Second, GTDBA delivers data packets to colder and non-congested areas by game-based congestion-aware adaptive routing. Simultaneously, GTDBA makes use of Nash Equilibrium to mitigate thermal and traffic problem. The proposed STTAR algorithm also made efforts to synchronize thermal and traffic information. The difference between the two algorithms is that STTAR adopted a more detailed conditional division on adjusting the buffer length, and divided the overheated nodes into first-level and second-level overheated nodes. Overheated nodes at different levels carry out various policy adjustments to avoid the inappropriate adjustment of the buffer. Besides, the STTAR algorithm adopts the scoring strategy that utilizes temperature information and the number of free slots to select routing nodes, which can effectively decrease the temperature rise rate of 3D NoC and reduce the probability of congested areas.

3  Proposed Score-Based Traffic- and Thermal-Aware Adaptive Routing (STTAR)

It has been discussed earlier that the thermal-sensing adaptive routing algorithm will not only lead to thermal imbalance but also generate overheated areas, which will degrade system performance. To handle the problem of thermal distribution, traffic distribution must be adjusted to solve the problem of traffic congestion. However, the excessive distribution of traffic will arouse the router to switch multiple times, resulting in new hot spots. In addition, routing algorithms use thermal information to select low-temperature paths to avoid data transmission in overheated areas, which not only causes serious congestion but also generates additional overhead. Therefore, we proposed a Score-Based Traffic- and Thermal-Aware Adaptive Routing (STTAR) to deal with the above problems. On the one hand, STTAR dynamically adjusts the length of the buffer to control the transmission rate of data packets, reducing the rate of temperature rise to constrain the resources in overheated areas and congested areas. On the other hand, the algorithm adopts a scoring strategy for the temperature and the number of free slots of 3D NoC nodes. It selects the candidate node with the highest score for routing and avoids the distribution of data packets in overheated areas and congested areas.

3.1 Dynamic Buffer Allocation

In the 3D NoC system, to synchronize the network traffic and thermal information and achieve a balance between them, we will dynamically adjust the router buffer to limit routing resources in overheated areas, thereby achieving thermal balance distribution. Lee et al. proposed Rate of Temperature Increment (RTI) [22] to represent thermal information in time, and used this concept to adjust the length of the NoC node buffer. In the thermal prediction model [19], RTI can be expressed as:

RTI=T(t+Δt)T(t)(1)

where T(t) means the current temperature, Δt means the sampling period and T(t+Δt) means the predicted temperature of the thermal prediction model, and RTI represents the temperature difference in each sampling period. We use the RTI value to judge whether the NoC node will become an overheated area, and dynamically adjust the buffer length of adjacent routers to control the transmission of data packets in the overheated area. Based on the approximate exponential function of the temperature rise [23], it can be concluded that the high-temperature situation obtained by the RTI value is not necessarily accurate, so the 3D NoC system still suffers from thermal problem after the buffer allocation.

To reduce the performance impact after buffer allocation, we need to conduct a deeper study on temperature, and re-derive Eq. (1) based on the [23] temperature prediction (TP) model.

TP=T(t+Δt)=T(t)+RTI=T(t)+(T(t)T(tΔt))×ebΔt(2)

where b is a physical constant and Δt is the temperature sampling period. By Eq. (2), the correlation information between the current temperature and the historical temperature can be collected. TP represents the current temperature pressure of each NoC node, and the node with high temperature pressure represents that this node has a high probability of becoming an overheated node, and the temperature should be lowered by adjusting the buffer length.

We use Eq. (2) to consider the temperature and current state of each NoC routing node. Obviously, the NoC node with a higher temperature pressure value represents a large discrepancies temperature, we adjust the input and output buffer lengths of the NoC node. In order to avoid frequently adjusting the buffer length to affect the throughput of the NoC system, it is necessary to set an appropriate buffer length range. We choose the voting model for the buffer adjustment strategy. In the 3D NoC system, each NoC node has six adjacent nodes (i.e., East, South, West, North, Up, and Down) except the edge NoC node. According to Eq. (2), each node has a different temperature pressure value. When the TP node is greater than adjacent nodes, we adjust the input and output buffers of the NoC node. The algorithm of the proposed buffer adjustment strategy is shown in Algorithm 1, and the example of the proposed buffer adjustment strategy is shown in Fig. 1.

images

As shown in the Fig. 1, by comparing the temperature pressure values of the NoC nodes, we adjust the input and output buffer lengths of the overheated node. Generally speaking, the 3D NoC node has six adjacent nodes except the edge NoC node. When the TP value of the node is higher than those of the two neighbor nodes, we define this node as a first-level overheated node. When the TP value of the node is greater than four neighbor nodes, we consider the node to be a second-level overheated node.

images

Figure 1: (a) is an example when TP wins one-third of its neighbors, while (b) two-thirds of its neighbors

The temperature rise depends on the frequent traffic [23,24]. The buffer adjustment strategy should be working when there is an overheated node. From one aspect, we increase the length of the input buffer (Linput_buffer) of the overheated node, and the received data packet stays in the input buffer to reduce the number of data packets that are injected into the overheated node. From another, we reduce the length of the output buffer (Loutput_buffer) of the overheated node, and reduces the overheated node to send data packets.

As shown in the Fig. 1, the first-level overheated node increases the length of the input buffer by one and decreases the length of the output buffer by one, and the second-level overheated node increases the length of the input buffer by two, and decreases the length of the output buffer by two. By reducing the transmission speed of the traffic at the overheated node, not only is the rapid temperature rise in this area avoided, but the temperature can be controlled by the traffic as well.

The length of the buffer will affect the throughput of the 3D NoC system [24,25]. In this article, in order to better improve the throughput of the 3D NoC system, the maximum buffer length (Lmax) is set to 16 flits. For the minimum buffer length (Lmin), logically Lmin should be set to 0. If Lmin is set to 0, the number of data packets from the neighboring nodes of the hot node will increase rapidly, resulting in a congested area. At the same time, based on the queuing theory, a smaller Lmin is good for synchronizing temperature and traffic information, hence we set Lmin to 1 flit.

3.2 Score-Based Selection Strategy

STTAR uses congestion-aware adaptive routing algorithms to achieve temperature balance. Traffic balance is the prerequisite for temperature balance. We use beltway routing as the routing function. On account of the fact that in avoids overheated areas in the smallest path, and it increases the diversity of routing paths. In addition, when selecting the routing path, we jointly consider the impact of thermal and free slots of buffer on 3D NoC and define a metric score to evaluate the performance of our proposed algorithm. It can effectively avoid overheated areas and congested areas to achieve a balanced distribution of temperature.

When routing nodes are selected, we define the concept of routing node scores in Eq. (3), in accordance with a score-based selection strategy, where the candidate node with a high score is selected as the next-hop node of the data packet.

scoresum=scorefreeslot+scorethermal(3)

where scoresum represents the score of each candidate node, which consists of two parts, scorefreeslot is the score of the number of free buffer slots of the candidate node, and scorethermal is the score of the temperature of the candidate node. The score value can reflect the selection probability of the candidate node. In order to make the selection more accurate, the scoring strategy also considers the next candidate node of the candidate node in Eqs. (4), (5).

scorefreeslot=csfreeslot+(k=1nncs(k)freeslot)/n(4)

scorethermal=csthermal+(k=1nncs(k)thermal)/n(5)

where k and n respectively represent the number of indexes and the number of candidate nodes for the next candidate node. csfreeslot represents the score of the number of candidate node free buffer slots, and ncsfreeslot represents the score of next candidate node of free buffer slots. In Eq. (5), csthermal and ncsthermal represent the temperature score of the candidate node and next candidate node. To eliminate the influence of singular data and ensure the stability of the two kinds of data, we normalize the buffer slot information and temperature information of both the candidate node and its next-hop candidate node by Eqs. (6)(9).

cs(i)freeslot=cs(i)freeslotcs_minfreeslotcs_maxfreeslotcs_minfreeslot(6)

cs(i)thermal=1(cs(i)thermalcs_minthermalcs_maxthermalcs_minthermal)(7)

ncs(i)freeslot=ncs(i)freeslotncs_minfreeslotncs_maxfreeslotncs_minfreeslot(8)

ncs(i)thermal=1(ncs(i)thermalncs_minthermalncs_maxthermalncs_minthermal)(9)

where i represents the index and the number of nodes, cs(i)freeslot and cs(i)thermal respectively represent the score of the free buffer slot number and the temperature score of a certain node. In Eqs. (8), (9), ncs(i)freeslot and ncs(i)thermal mean the score of the free buffer slot number and the temperature score of an incoming next candidate node. The maximum and minimum values of these nodes are obtained, and Min-Max normalization is used. Since the node with a lower temperature is selected, lower temperature spells higher scores, which is why the normalized value is subtracted from one to denote the candidate node temperature score.

According to Eq. (3), the node with the highest score is selected as the next-hop node. The algorithm of the proposed scored-base select strategy is shown in Algorithm 2.

images

4  Experiments and Discussion

To evaluate the algorithm proposed in this paper, an experimental simulation was carried out using the thermal and traffic co-simulation environment Access Noxim [9]. Access Noxim integrates Noxim [26] and Hotpot [27] to simulate the behavior of thermal and traffic in the 3D NoC system. Noxim is a tool for simulating the traffic behavior of the NoC system and comprehensively evaluate the performance of NoC in the traffic mode. Besides, Hotspot is a thermal analysis software that can accurately evaluate the temperature indicators in the NoC system. Access Noxim can roundly evaluate the traffic load, temperature, and other information of the NoC system. In the 3D NoC system design, the grid topology is 8 × 8 × 4, and the buffer length of each router is 16 flits. To reduce the cost, the experiment does not use virtual channels [28]. The specific experiment settings are shown in Tab. 1.

images

In this experiment, to better evaluate the algorithm, we compare the STTAR algorithm with TAAR, TAABR, and PTDBA. For the fairness of comparison, we adopt the same injection rate of the system and select the temperature distribution, traffic load, saturated throughput, and delay information as the indicators of the evaluation algorithm. To better analyze the traffic load and temperature distribution, we use TAAR as the baseline and use different traffic modes to analyze the results of the traffic load and temperature distribution.

4.1 Analysis of Temperature Distribution and Statistical Traffic Load Distribution

To achieve the diversity of routing schemes, we adopt three popular traffic modes, Uniform Random, Shuffle, and Transpose-1, Fig. 2 indicates the statistical traffic load distribution of Uniform Random, Shuffle, and Transpose-1. In Fig. 2, L0 represents the top layer, L1 and L2 are the middle layers, and L3 represents the top layer, which is the closest to the heatsink. Compared with the TAAR algorithm, the TTABR algorithm provides a beltway path, which increases the diversity of the path and disperses the traffic pressure of each router. The PTDBA algorithm adjusts the buffer zone according to the historical heating rate, but the heating rate cannot represent the actual temperature. When the buffer length is configured unreasonably, it also causes a large amount of traffic to accumulate in some areas, resulting in regional congestion. The proposed STTAR algorithm can perform routing according to the score of each router, and divide the buffer length in more details. That makes the selection of data packets more reasonable and reduces the probability of congested areas to a certain extent. In Fig. 2, the statistical traffic load of the STTAR algorithm in some areas will be lighter than those of other algorithms, which indicates that the probability of packet blocking generated will decrease, and the congested area will also be reduced. Therefore, compared with other algorithms, the STTAR algorithm has a more balanced traffic distribution.

images

Figure 2: Statistical traffic load distribution of different algorithms under Uniform Random, Shuffle and Transpose-1

Fig. 3 shows the temperature distribution of different algorithms under three traffic modes. On the one hand, the proposed thermal-aware adaptive routing algorithm based on the scoring strategy, which is able to comprehensively evaluate according to temperature information and the number of free slots in the buffer, can be used to transfer data packets to colder areas and non-congested areas. On the other hand, the STTAR algorithm combined with the dynamic buffer adjustment strategy is capable of improving the throughput of the 3D NoC system. The STTAR algorithm has a more balanced temperature distribution than other algorithms and is in line with the expected results.

images

Figure 3: Temperature load distribution of different algorithms under Uniform Random, Shuffle and Transpose-1

4.2 Analysis of Throughput and Average Latency

To verify that the proposed STTAR algorithm can improve the performance of the 3D NoC system, we compare the system throughput and average delay information under different traffic modes.

Figs. 4 and 5 respectively show the throughput and average latency information of different algorithms under Uniform Random, Shuffle, and Transpose-1 modes. Compared to the TAAR algorithm, the TTABR algorithm not only reduces the delay but also improves the throughput by increasing the diversity of routing paths. The PTDBA algorithm dynamically adjusts the buffer length to transmit data packets to the non-congested area, which also reduces the delay to a certain extent and improves throughput. As mentioned earlier, the proposed STTAR algorithm is based on the scoring strategy about temperature information and the number of free slots in the buffer, which makes the data packet allocation more reasonable, and the average delay is reduced by 10.80% to 35.36% compared with other algorithms. Further-more, it dynamically adjusts buffer length according to the traffic information, which improves the throughput of the 3D NoC system. Compared with other algorithms, under the three traffic modes, the throughput is increased by 14.98% at the lowest and 47.90% at the highest.

images

Figure 4: Throughput of different algorithms under Uniform Random, Shuffle and Transpose-1

images

Figure 5: Average latency of different algorithms under Uniform Random, Shuffle and Transpose-1

4.3 Analysis of Performance Scalability

To evaluate the scalability of the STTAR algorithm, we analyze the results of the system throughput under different topology sizes (i.e., 4 × 4 × 4, 6 × 6 × 4, 8 × 8 × 4, 10 × 10 × 4). Fig. 6 shows the system throughput of the STTAR algorithm and the other three algorithms under different topology sizes. It is not difficult to see that the system throughput of the STTAR algorithm is higher than other algorithms regardless of the small or large 3D NoC mesh structure, and it is a traffic- and thermal-aware adaptive routing algorithm with good scalability.

images

Figure 6: Performance scalability of STTAR under various topology sizes

In short, the proposed STTAR algorithm dynamically adjusts the length of the buffer to control the traffic distribution and adopts the proposed based-score strategy to select the appropriate routing node to transmit data packets to non-congested areas and colder areas. STTAR implemented with good scalability conspicuously improves the throughput of the 3D NoC system and reduces network delay, and successfully achieves a more balanced traffic load and temperature distribution.

5  Conclusion

To balance the temperature and traffic load distribution and improve the performance of the 3D NoC system, we propose a Score-Based Traffic- and Thermal-Aware Adaptive Routing (STTAR). First, by using the traffic load information, STTAR adopts a buffer dynamic adjustment strategy to control the transmission rate of data packets and reduce the heating rate of routing nodes in the network, thereby avoiding high-temperature areas in the network. At the same time, STTAR adopts a scoring strategy to score the number of free slots in buffer and temperature, cooperate with the network traffic and temperature information, improve the rationality of selecting routing output nodes, and reduce the generation of congested areas. Experimental results show that the STTAR algorithm achieves a more balanced traffic distribution and temperature distribution. On the other hand, it improves the performance of the 3D NoC system. The throughput is increased by about 14.98% to 47.90%, and the delay is reduced by about 10.80% to 35.36%. In the context of a 3D NoC, routing algorithm is a prickly problem that has been largely unstudied with fault tolerance. Our future work will focus on the fault-tolerance issue and improving routing strategy.

Acknowledgement: This work is supported by Beijing Natural Science Foundation (4192007), and supported by the National Natural Science Foundation of China (61202076), along with other government sponsors. The authors would like to thank the reviewers for their efforts and for providing helpful suggestions that have led to several important improvements in our work. We would also like to thank all teachers and students in our laboratory for helpful discussions.

Funding Statement: The work of BJUT researchers Fang et al. was partly supported by the Beijing Natural Science Foundation (4192007), the National Natural Science Foundation of China (61202076), and Beijing University of Technology Project No. 2021C02.

Conflicts of Interest: The authors declare that they have no conflicts of interest to report regarding the present study.

References

 1.  A. Eghbal, P. M. Yaghini, N. Bagherzadeh and M. Khayambashi, “Analytical fault tolerance assessment and metrics for tsv-based 3d network-on-chip,” IEEE Transactions on Computers, vol. 64, no. 12, pp. 3591–3604, 2015. [Google Scholar]

 2.  S. Tyagi, P. Maheshwari, A. Agarwal and V. Avasthi, “Exploring 3d network-on-chip architectures and challenges,” in 2017 International Conference on Computer and Applications, Doha, Qatar, pp. 97–101, 2017. [Google Scholar]

 3.  C. Liu, J. H. Chen, R. Manohar and S. Tiwari, “Mapping system-onchip designs from 2-d to 3-d ics,” in 2005 IEEE International Symposium on Circuits and Systems, Kobe, Japan, vol. 3, pp. 2939–2942, 2005. [Google Scholar]

 4.  V. F. Pavlidis and E. G. Friedman, “3-D topologies for networks-on-chip,” in IEEE Transactions on Very Large Scale Integration Systems, vol. 15, no. 10, pp. 1081–1090, 2007. [Google Scholar]

 5.  F. Ahmed, W. A. Khan and J. Nayfeh, “Experimental investigation on the performance of heat pump operating with copper and alumina nanofluids,” Computers, Materials & Continua, vol. 66, no. 3, pp. 2843–2856, 2021. [Google Scholar]

 6.  B. Black, M. Annavaram, N. Brekelbaum, J. DeVale, L. Jiang et al., “Die stacking (3d) microarchitecture,” in 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture, Orlando, FL, USA, pp. 469–479, 2006. [Google Scholar]

 7.  A. Vassighi and M. Sachdev, “Thermal runaway in integrated circuits,” IEEE Transactions on Device and Materials Reliability, vol. 6, no. 2, pp. 300–305, 2006. [Google Scholar]

 8.  X. R. Zhang, W. F. Zhang, W. Sun, X. M. Sun and S. K. Jha, “A robust 3-d medical watermarking based on wavelet transform for data protection,” Computer Systems Science & Engineering, vol. 41, no. 3, pp. 1043–1056, 2022. [Google Scholar]

 9.  K. Y. Jheng, C. H. Chao, H. Y. Wang and A. Y. Wu, “Traffic-thermal mutual-coupling co-simulation platform for three-dimensional network-on-chip,” in Proceedings of 2010 International Symposium on VLSI Design, Automation and Test, Hsinchu, Taiwan, pp. 135–138, 2010. [Google Scholar]

10. L. Shang, L. Peh, A. Kumar and N. Jha, “Thermal modeling, characterization and management of on-chip networks,” in 37th International Symposium on Microarchitecture, Portland, OR, USA, pp. 67–78, 2004. [Google Scholar]

11. C. H. Chao, K. Y. Jheng, H. Y. Wang, J. C. Wu and A. Y. Wu, “Traffic- and thermal-aware run-time thermal management scheme for 3d noc systems,” in 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, Grenoble, France, pp. 223–230, 2010. [Google Scholar]

12. Y. Lee, H. Hsin, K. Chen, E. Chang and A. A. Wu, “Thermal-aware dynamic buffer allocation for proactive routing algorithm on 3d network-on-chip systems,” in Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test, Hsinchu, Taiwan, pp. 1–4, 2014. [Google Scholar]

13. K. C. Chen, S. Y. Lin and A. Y. Wu, “Design of thermal management unit with vertical throttling scheme for proactive thermal-aware 3d noc systems,” in 2013 International Symposium on VLSI Design, Automation, and Test, Hsinchu, Taiwan, pp. 1–4, 2013. [Google Scholar]

14. C. Feng, M. Zhang, J. Li, J. Jiang, Z. Lu et al., “A low overhead fault-aware deflection routing algorithm for 3d network-on-chip,” in 2011 IEEE Computer Society Annual Symposium on VLSI, Chennai, India, pp. 19–24, 2011. [Google Scholar]

15. S. Akbari, A. Shafiee, M. Fathy and R. Berangi, “Afra: A low cost high performance reliable routing for 3d mesh nocs,” in 2012 Design, Automation Test in Europe Conference Exhibition, Dresden, Germany, pp. 332–337, 2012. [Google Scholar]

16. C. H. Chao, T. C. Yin, S. Y. Lin and A. Y. Wu, “Transport layer assisted routing for non-stationary irregular mesh of thermal-aware 3d network-on-chip systems,” in 2011 IEEE International SOC Conference, Taipei, Taiwan, pp. 284–289, 2011. [Google Scholar]

17. K. C. Chen, C. C. Kuo, H. S. Hung and A. Y. A. Wu, “Traffic- and thermal-aware adaptive beltway routing for three dimensional network-on-chip systems,” in 2013 IEEE International Symposium on Circuits and Systems, Beijing, China, pp. 1660–1663, 2013. [Google Scholar]

18. K. C. Chen, S. Y. Lin, H. S. Hung and A. Y. A. Wu, “Topology aware adaptive routing for nonstationary irregular mesh in throttled 3d noc systems,” IEEE Transactions on Parallel and Distributed Systems, vol. 24, no. 10, pp. 2109–2120, 2013. [Google Scholar]

19. V. Arora, K. Verma, R. S. Leekha, K. Lee, C. Choi et al., “Transfer learning model to indicate heart health status using phonocardiogram,” Computers, Materials & Continua, vol. 69, no. 3, pp. 4151–4168, 2021. [Google Scholar]

20. C. C. Kuo, K. C. Chen, E. J. Chang and A. Y. Wu, “Proactive thermal budget-based beltway routing algorithm for thermal-aware 3d noc systems,” in 2013 International Symposium on System on Chip (SoC), Tampere, Finland, pp. 1–4, 2013. [Google Scholar]

21. K. C. Chen, “Game-based thermal-delay-aware adaptive routing (gtdar) for temperature-aware 3d network-on-chip systems,” IEEE Transactions on Parallel and Distributed Systems, vol. 29, no. 9, pp. 2018–2032, 2018. [Google Scholar]

22. Y. S. Lee, H. K. Hsin, K. C. Chen, E. J. Chang and A. Y. A. Wu, “Thermal-aware dynamic buffer allocation for proactive routing algorithm on 3d network-on-chip systems,” in Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test, Hsinchu, Taiwan, pp. 1–4, 2014. [Google Scholar]

23. M. A. Haq, “Cdlstm: A novel model for climate change forecasting,” Computers, Materials & Continua, vol. 71, no. 2, pp. 2363–2381, 2022. [Google Scholar]

24. K. C. Chen, E. J. Chang, H. T. Li and A. Y. Wu, “Rc-based temperature prediction scheme for proactive dynamic thermal management in throttle-based 3d nocs,” IEEE Transactions on Parallel and Distributed Systems, vol. 26, no. 1, pp. 206–218, 2015. [Google Scholar]

25. X. R. Zhang, X. Sun, W. Sun, T. Xu and P. P. Wang, “Deformation expression of soft tissue based on bp neural network,” Intelligent Automation & Soft Computing, vol. 32, no. 2, pp. 1041–1053, 2022. [Google Scholar]

26. V. Catania, A. Mineo, S. Monteleone, M. Palesi and D. Patti, “Noxim: An open, extensible and cycle-accurate network on chip simulator,” in 2015 IEEE 26th International Conference on Application-specific Systems, Architectures and Processors, Toronto, ON, Canada, pp. 162–163, 2015. [Google Scholar]

27. W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron et al., “Hotspot: A compact thermal modeling methodology for early-stage vlsi design,” IEEE Transactions on Very Large Scale Integration Systems, vol. 14, no. 5, pp. 501–513, 2006. [Google Scholar]

28. M. Rezazad and H. Sarbazi-azad, “The effect of virtual channel organization on the performance of interconnection networks,” in 19th IEEE International Parallel and Distributed Processing Symposium, Denver, CO, USA, pp. 8–15, 2005. [Google Scholar]

images This work is licensed under a Creative Commons Attribution 4.0 International License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.